You are here : vhdlstd.textio.allfile_open

file_open() - std.textio.all

VHDL Procedure to open File available in std.textio.all .


Syntax

procedure FILE_OPEN(File_Status: out FILE_OPEN_STATUS;
file file_handle: FILE_TYPE;
File_Name: in STRING;
Open_Kind: in FILE_OPEN_KIND:=READ_MODE);


Example

library std;
use std.textio.all;
entity example_read_file is
...
end;
architecture arc of ... is
begin
  process(...)
  file infile : text;
  variable file_status : file_open_status;
  variable temp : line;
  variable z : integer;
  begin
    file_open(file_status,infile,infile.txt,read_mode);
    ...
    ...
    ...
  end process;
end arc;


Output / Return Value


Limitations


Alternatives / See Also


Reference