You are here : vhdlstd_logic_1164to_bitvector

to_bitvector() - std_logic_1164

Converts a std_ulogic_vector or std_logic_vector value to a vector of the built-in VHDL bit_vector type, place-by-place.


Syntax

function to_bitvector ( s : std_ulogic_vector ) return bit_vector;


Example


Output / Return Value


Limitations


Alternatives / See Also


Reference