You are here : vhdlstd_logic_1164to_bit

to_bit() - std_logic_1164

Converts a std_ulogic or std_logic value to the built-in VHDL bit type.


Syntax

function to_bit ( s : std_ulogic ) return bit;


Example


Output / Return Value


Limitations


Alternatives / See Also


Reference

https://www.cs.sfu.ca/~ggbaker/reference/std_logic/1164/to_bit.html